Intel to Embrace New High-NA EUV Technology, Potential Increase in Costs and Losses Predicted – TrendForce Analysis

0
34
Intel to Embrace New High-NA EUV Technology, Potential Increase in Costs and Losses Predicted – TrendForce Analysis

Intel’s decision to adopt ASML’s high numerical aperture extreme ultraviolet (High-NA EUV) lithography equipment is seen as a strategic move to regain its technological leadership. However, concerns have been raised about the high cost of this equipment, which could lead to potential losses for Intel. ASML plans to manufacture five EUV High-NA devices this year, all of which have been pre-ordered by Intel, showcasing the company’s commitment to this technology.

In contrast, TSMC has decided to continue using existing EUV equipment for its A16 process instead of adopting High-NA EUV. This decision has sparked discussions and raised questions about the company’s approach to semiconductor manufacturing. Intel’s CEO, Pat Gelsinger, has acknowledged that the previous resistance to using ASML’s EUV equipment was a mistake and has now embraced this technology for better price and performance competitiveness.

TSMC’s decision not to adopt High-NA EUV for the A16 process may have been based on a thorough evaluation of the costs and benefits. While High-NA EUV offers higher resolution imaging capabilities, the significant increase in cost compared to traditional EUV equipment may not justify the investment for TSMC at this time. ASML’s High-NA EUV equipment boasts improved precision, clarity, and production efficiency, but the high price tag of $380 million per system may deter some companies from adopting it.

Industry experts believe that semiconductor packaging will play a crucial role in the future success of companies like Intel and TSMC. While Intel’s decision to invest in EUV High-NA equipment may give it a competitive edge in some aspects, it may not be the sole determinant of success in the industry. TSMC’s cautious approach to adopting High-NA EUV equipment indicates a thoughtful consideration of its current needs and priorities. The company’s extensive customer base and ecosystem provide it with flexibility in choosing the right technology for its processes.

Both TSMC and Samsung are currently using EUV equipment for their manufacturing processes, with TSMC planning to mass produce its A16 technology by 2026. The combination of nanosheet transistors and a supertrack architecture in the A16 process has generated significant interest in the industry.

In conclusion, the semiconductor industry is witnessing a shift towards more advanced technologies like High-NA EUV lithography. While Intel’s early adoption of this technology may give it a competitive advantage, the high costs and other considerations may limit its widespread adoption. TSMC’s decision to stick to existing EUV equipment highlights the complexities and trade-offs involved in selecting the right technology for semiconductor manufacturing. Ultimately, the success of companies in this industry will depend on their ability to balance technological innovation with cost-effectiveness and customer demands.

Article Source
https://www.trendforce.com/news/2024/05/21/news-intel-to-adopt-new-high-na-euv-high-costs-could-lead-to-increased-losses/